Data Types

  • Post author:
  • Post category:VHDL

A data type is a name associated with a set of values and a set of operations. Connected data objects in VHDL must be of the same type, because VHDL…

Continue ReadingData Types

End of content

No more pages to load